さぼりすぎですね

R > VHDL > エージェント > アルゴリズム > 幾何

まだサボり中。 なんとなく今日もダメダメか? んー、ストレスがたまっています。

なんとなく、新しい事に手を出したいと思っていますけれど、現実問題として実行不可能。 何とかしないと生けない名とは思いつつも、やはりスキルをあげるしか、今できる手は無いのかもしれません。